Skip to content

Commit

Permalink
Merge pull request #159 from lovelesh-mis/main
Browse files Browse the repository at this point in the history
Resolved ghdl synthesis error
  • Loading branch information
stnolting committed Mar 18, 2024
2 parents af39e20 + dea1b7f commit bd8880b
Show file tree
Hide file tree
Showing 6 changed files with 19 additions and 17 deletions.
5 changes: 4 additions & 1 deletion osflow/board_tops/neorv32_iCEBreaker_BoardTop_UP5KDemo.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -58,7 +58,10 @@ entity neorv32_iCEBreaker_BoardTop_UP5KDemo is
-- TWI --
iCEBreaker_TWI_SDA : inout std_logic;
iCEBreaker_TWI_SCL : inout std_logic;
-- GPIO --
-- on-board GPIO --
--iCEBreaker_BOARD_LED_G : out std_ulogic; -- iCEBreaker on-board LEDs
--iCEBreaker_BOARD_LED_R : out std_ulogic; -- iCEBreaker on-board LEDs
-- GPIO External --
iCEBreaker_GPIO_I : in std_ulogic_vector(3 downto 0);
iCEBreaker_GPIO_O : out std_ulogic_vector(3 downto 0);
-- PWM (to on-board RGB power LED) --
Expand Down
2 changes: 1 addition & 1 deletion osflow/synthesis.mk
Original file line number Diff line number Diff line change
Expand Up @@ -17,7 +17,7 @@ endif

${IMPL}.json: work-obj08.cf $(NEORV32_VERILOG_ALL)
$(YOSYS) $(YOSYSFLAGS) \
-p \
-m ghdl -p \
"$(GHDLSYNTH) $(GHDL_FLAGS) --no-formal $(TOP); \
$(READ_VERILOG) synth_${YOSYSSYNTH} \
-top $(TOP) $(YOSYSPIPE) \
Expand Down
Binary file modified radiant/iCEBreaker/impl_1/iCEBreaker_impl_1.bin
Binary file not shown.
7 changes: 3 additions & 4 deletions radiant/iCEBreaker/source/impl_1.xcf
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
<?xml version='1.0' encoding='utf-8' ?>
<!DOCTYPE ispXCF SYSTEM "IspXCF.dtd" >
<ispXCF version="R2023.2">
<ispXCF version="R2022.1">
<Comment></Comment>
<Chain>
<Comm>SPI</Comm>
Expand All @@ -18,8 +18,7 @@
<BScanVal>0</BScanVal>
</Bypass>
<File>../../impl_1/iCEBreaker_impl_1.bin</File>
<FileTime>02/10/24 19:41:19</FileTime>
<JedecChecksum>0xB101</JedecChecksum>
<FileTime>03/18/24 09:25:47</FileTime>
<MemoryType>External SPI Flash Memory (SPI FLASH)</MemoryType>
<Operation>Erase,Program,Verify</Operation>
<Option>
Expand Down Expand Up @@ -103,6 +102,6 @@
<CableOptions>
<CableName>USB2</CableName>
<PortAdd>FTUSB-0</PortAdd>
<USBID>iCEBreaker V1.0d A Location 0000 Serial ibT0gbT8A</USBID>
<USBID>iCEBreaker V1.0e A Location 0000 Serial ibSp9gG5A</USBID>
</CableOptions>
</ispXCF>
6 changes: 3 additions & 3 deletions radiant/iCEBreaker/system_pll/rtl/system_pll.v
Original file line number Diff line number Diff line change
@@ -1,9 +1,9 @@

/*******************************************************************************
Verilog netlist generated by IPGEN Lattice Radiant Software (64-bit)
2022.1.0.52.3
2023.2.0.38.1
Soft IP Version: 1.0.1
2024 02 11 00:11:50
2024 03 16 10:02:06
*******************************************************************************/
/*******************************************************************************
Wrapper Module generated per user settings.
Expand All @@ -20,7 +20,7 @@ module system_pll (ref_clk_i,
output outglobal_o ;
system_pll_ipgen_lscc_pll #(.DIVR("0"),
.FILTER_RANGE("1"),
.FREQUENCY_PIN_REFERENCECLK("12.000000"),
.FREQUENCY_PIN_REFERENCECLK("10.000000"),
.FEEDBACK_PATH("SIMPLE"),
.EXTERNAL_DIVIDE_FACTOR("NONE"),
.DIVF("63"),
Expand Down
16 changes: 8 additions & 8 deletions radiant/iCEBreaker/system_pll/system_pll.ipx
Original file line number Diff line number Diff line change
@@ -1,12 +1,12 @@
<?xml version="1.0" ?>
<RadiantModule architecture="iCE40UP" date="2024 02 11 00:11:50" device="iCE40UP5K" generator="ipgen" library="module" module="pll" name="system_pll" package="SG48" source_format="Verilog" speed="High-Performance_1.2V" vendor="latticesemi.com" version="1.0.1">
<RadiantModule architecture="iCE40UP" date="2024 03 16 10:02:06" device="iCE40UP5K" gen_platform="Radiant" generator="ipgen" library="module" module="pll" name="system_pll" package="SG48" source_format="Verilog" speed="High-Performance_1.2V" vendor="latticesemi.com" version="1.0.1">
<Package>
<File modified="2024 02 11 00:11:50" name="rtl/system_pll_bb.v" type="black_box_verilog"/>
<File modified="2024 02 11 00:11:50" name="system_pll.cfg" type="cfg"/>
<File modified="2024 02 11 00:11:50" name="misc/system_pll_tmpl.v" type="template_verilog"/>
<File modified="2024 02 11 00:11:50" name="misc/system_pll_tmpl.vhd" type="template_vhdl"/>
<File modified="2024 02 11 00:11:50" name="rtl/system_pll.v" type="top_level_verilog"/>
<File modified="2024 02 11 00:11:50" name="component.xml" type="IP-XACT_component"/>
<File modified="2024 02 11 00:11:50" name="design.xml" type="IP-XACT_design"/>
<File modified="2024 03 16 10:02:06" name="rtl/system_pll_bb.v" type="black_box_verilog"/>
<File modified="2024 03 16 10:02:06" name="system_pll.cfg" type="cfg"/>
<File modified="2024 03 16 10:02:06" name="misc/system_pll_tmpl.v" type="template_verilog"/>
<File modified="2024 03 16 10:02:06" name="misc/system_pll_tmpl.vhd" type="template_vhdl"/>
<File modified="2024 03 16 10:02:06" name="rtl/system_pll.v" type="top_level_verilog"/>
<File modified="2024 03 16 10:02:06" name="component.xml" type="IP-XACT_component"/>
<File modified="2024 03 16 10:02:06" name="design.xml" type="IP-XACT_design"/>
</Package>
</RadiantModule>

0 comments on commit bd8880b

Please sign in to comment.