Skip to content

Pull requests: YosysHQ/picorv32

Author
Filter by author
Loading
Label
Filter by label
Loading
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Loading
Milestones
Filter by milestone
Loading
Reviews
Assignee
Filter by who’s assigned
Sort

Pull requests list

Fixed fusesoc parse error in picorv32.core
#264 opened Jun 27, 2024 by IQgaSagatteikuKanji Loading…
Fix performance counter read decoding
#239 opened May 15, 2023 by flaviens Loading…
picorv32_pcpi_div: Compactify logic
#216 opened Mar 31, 2022 by maikmerten Loading…
Added Openlane support with CI
#198 opened May 11, 2021 by klasnordmark Loading…
Update picorv32.v
#186 opened Dec 16, 2020 by SebastianZa Loading…
Add big-endian support
#172 opened Aug 2, 2020 by zeldin Loading…
Fix simpleuart baud rate calculation
#162 opened May 2, 2020 by anishathalye Loading…
Added printf and use it thruout the firmware.
#146 opened Jan 13, 2020 by rxrbln Loading…
ProTip! Type g p on any issue or pull request to go back to the pull request listing page.