Skip to content
@YosysHQ

Yosys Headquarters

Yosys Open SYnthesis Suite

YosysHQ - Open Source EDA

OSS CAD Suite: the one-stop shop for our tools

If you want to use our EDA tools, the easiest way is to install the binary release OSS CAD suite, which contains all required dependencies and related tools. Find the documentation here. We also have an OSS CAD Suite github action for using the tools in a github CI workflow.

Tabby CAD Suite is a commercial extension of OSS CAD Suite available from YosysHQ GmbH that additionally includes the Verific frontend for industry-grade SystemVerilog and VHDL support, formal verification with SVA, and formal apps.

Our Projects

Front-ends for applications built on top of Yosys:

  • sby: formal property checking
  • mcy: mutation coverage
  • eqy: equivalence checking

Other notable projects:

  • riscv-formal: formally check compliance with the RISC-V specification
  • picorv32: A Size-Optimized RISC-V CPU
  • nerv: A very simple educational RISC-V CPU for demonstrating riscv-formal

Community

Support us

Like what we do? Please consider either buying a license for the Tabby CAD Suite or becoming a sponsor.

Pinned Loading

  1. yosys yosys Public

    Yosys Open SYnthesis Suite

    C++ 3.3k 864

  2. nextpnr nextpnr Public

    nextpnr portable FPGA place and route tool

    C++ 1.3k 239

  3. sby sby Public

    SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows

    Python 387 74

  4. oss-cad-suite-build oss-cad-suite-build Public

    Multi-platform nightly builds of open source digital design and verification tools

    Shell 759 69

Repositories

Showing 10 of 37 repositories
  • yosys Public

    Yosys Open SYnthesis Suite

    YosysHQ/yosys’s past year of commit activity
    C++ 3,339 ISC 864 416 109 Updated Aug 8, 2024
  • abc Public Forked from berkeley-abc/abc

    ABC: System for Sequential Logic Synthesis and Formal Verification

    YosysHQ/abc’s past year of commit activity
    C 26 536 0 1 Updated Aug 8, 2024
  • oss-cad-suite-build Public

    Multi-platform nightly builds of open source digital design and verification tools

    YosysHQ/oss-cad-suite-build’s past year of commit activity
    Shell 759 ISC 69 42 1 Updated Aug 8, 2024
  • apicula Public

    Project Apicula 🐝: bitstream documentation for Gowin FPGAs

    YosysHQ/apicula’s past year of commit activity
    Verilog 454 MIT 65 12 6 Updated Aug 7, 2024
  • mcy Public

    Mutation Cover with Yosys (MCY)

    YosysHQ/mcy’s past year of commit activity
    C++ 77 ISC 9 1 0 Updated Aug 6, 2024
  • eqy Public

    Equivalence checking with Yosys

    YosysHQ/eqy’s past year of commit activity
    C++ 30 5 9 0 Updated Aug 6, 2024
  • sby Public

    SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows

    YosysHQ/sby’s past year of commit activity
    Python 387 74 36 12 Updated Aug 6, 2024
  • nextpnr Public

    nextpnr portable FPGA place and route tool

    YosysHQ/nextpnr’s past year of commit activity
  • mau Public

    Modular Application Utilities

    YosysHQ/mau’s past year of commit activity
    Python 5 ISC 3 1 0 Updated Jul 27, 2024
  • icestorm Public

    Project IceStorm - Lattice iCE40 FPGAs Bitstream Documentation (Reverse Engineered)

    YosysHQ/icestorm’s past year of commit activity
    Python 974 ISC 222 37 17 Updated Jul 27, 2024