Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

🧪 VHDL - use entity instantiation #637

Merged
merged 2 commits into from
Jun 24, 2023
Merged

🧪 VHDL - use entity instantiation #637

merged 2 commits into from
Jun 24, 2023

Conversation

stnolting
Copy link
Owner

@stnolting stnolting commented Jun 24, 2023

VHDL code: use entity instantiation instead of component instantiation as suggested by @biosbob in #613 [EXPERIMENTAL!].

Component instantiation is further used for components that are placed in the same file.

replacing component instantiation [EXPERIMENTAL]
@stnolting stnolting added HW hardware-related experimental Experimental feature coding-style Related to the HW/SW coding style labels Jun 24, 2023
@stnolting stnolting self-assigned this Jun 24, 2023
@stnolting stnolting marked this pull request as ready for review June 24, 2023 18:15
@stnolting stnolting merged commit 0b80df2 into main Jun 24, 2023
8 checks passed
@stnolting stnolting deleted the entity_instantiation branch June 24, 2023 21:31
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
coding-style Related to the HW/SW coding style experimental Experimental feature HW hardware-related
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

1 participant