Skip to content

Commit

Permalink
Fix for issue #782 (#783)
Browse files Browse the repository at this point in the history
  • Loading branch information
stnolting committed Jan 31, 2024
2 parents 8e52234 + 036c578 commit e3dde60
Show file tree
Hide file tree
Showing 3 changed files with 5 additions and 1 deletion.
1 change: 1 addition & 0 deletions CHANGELOG.md
Original file line number Diff line number Diff line change
Expand Up @@ -30,6 +30,7 @@ mimpid = 0x01040312 -> Version 01.04.03.12 -> v1.4.3.12

| Date | Version | Comment | Link |
|:----:|:-------:|:--------|:----:|
| 31.01.2024 | 1.9.3.10 | close illegal compressed instruction decoding loophole | [#783](https://github.com/stnolting/neorv32/pull/783) |
| 29.01.2024 | 1.9.3.9 | :test_tube: extend switchable clock domain (CPU bus switch, i-cache, d-cache) | [#780](https://github.com/stnolting/neorv32/pull/780) |
| 29.01.2024 | 1.9.3.8 | top entity input ports now have default values `'L'` or `'h'` modeling a pull-down or pull-resistor in case they are not explicitly assigned during instantiation | [#779](https://github.com/stnolting/neorv32/pull/779) |
| 28.01.2024 | 1.9.3.7 | FIFO module _NULL assertion_ fix | [#778](https://github.com/stnolting/neorv32/pull/778) |
Expand Down
3 changes: 3 additions & 0 deletions rtl/core/neorv32_cpu_decompressor.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -317,6 +317,9 @@ begin
decoded(instr_funct3_msb_c downto instr_funct3_lsb_c) <= funct3_and_c;
decoded(instr_funct7_msb_c downto instr_funct7_lsb_c) <= "0000000";
end case;
if (ci_instr16_i(12) = '1') then -- reserved instruction space.
illegal <= '1';
end if;
end case;

end case;
Expand Down
2 changes: 1 addition & 1 deletion rtl/core/neorv32_package.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -56,7 +56,7 @@ package neorv32_package is

-- Architecture Constants -----------------------------------------------------------------
-- -------------------------------------------------------------------------------------------
constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01090309"; -- hardware version
constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01090310"; -- hardware version
constant archid_c : natural := 19; -- official RISC-V architecture ID
constant XLEN : natural := 32; -- native data path width

Expand Down

0 comments on commit e3dde60

Please sign in to comment.