Skip to content

Commit

Permalink
[top] minor sanity-check re-wording
Browse files Browse the repository at this point in the history
  • Loading branch information
stnolting committed Sep 3, 2023
1 parent ff4d9a5 commit ac988b9
Showing 1 changed file with 2 additions and 2 deletions.
4 changes: 2 additions & 2 deletions rtl/core/neorv32_top.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -373,10 +373,10 @@ begin
-- internal memory sizes --
assert not ((imem_size_valid_c = false) and (MEM_INT_IMEM_EN = true)) report
"NEORV32 PROCESSOR CONFIG WARNING: Configured internal IMEM size (" & natural'image(MEM_INT_IMEM_SIZE) & " bytes) is not a power of two. " &
"Auto-increasing memory size to the next power of two (" & natural'image(imem_size_c) & " bytes)" severity warning;
"Auto-adjusting memory size to the next power of two (" & natural'image(imem_size_c) & " bytes)" severity warning;
assert not ((dmem_size_valid_c = false) and (MEM_INT_DMEM_EN = true)) report
"NEORV32 PROCESSOR CONFIG WARNING: Configured internal DMEM size (" & natural'image(MEM_INT_DMEM_SIZE) & " bytes) is not a power of two. " &
"Auto-increasing memory size to the next power of two (" & natural'image(dmem_size_c) & " bytes)" severity warning;
"Auto-adjusting memory size to the next power of two (" & natural'image(dmem_size_c) & " bytes)" severity warning;

-- caches --
assert not ((ICACHE_EN = true) and (CPU_EXTENSION_RISCV_Zifencei = false)) report
Expand Down

0 comments on commit ac988b9

Please sign in to comment.