Skip to content

Commit

Permalink
⚠️ [top] remove AMO_RVS_GRANULARITY generic
Browse files Browse the repository at this point in the history
  • Loading branch information
stnolting committed Jul 3, 2024
1 parent 1937074 commit 333542b
Show file tree
Hide file tree
Showing 5 changed files with 5 additions and 21 deletions.
4 changes: 1 addition & 3 deletions rtl/core/neorv32_package.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -29,7 +29,7 @@ package neorv32_package is

-- Architecture Constants -----------------------------------------------------------------
-- -------------------------------------------------------------------------------------------
constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01100008"; -- hardware version
constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01100009"; -- hardware version
constant archid_c : natural := 19; -- official RISC-V architecture ID
constant XLEN : natural := 32; -- native data path width

Expand Down Expand Up @@ -742,8 +742,6 @@ package neorv32_package is
-- Hardware Performance Monitors (HPM) --
HPM_NUM_CNTS : natural range 0 to 13 := 0;
HPM_CNT_WIDTH : natural range 0 to 64 := 40;
-- Atomic Memory Access - Reservation Set Granularity --
AMO_RVS_GRANULARITY : natural range 4 to 2**30 := 4;
-- Internal Instruction memory (IMEM) --
MEM_INT_IMEM_EN : boolean := false;
MEM_INT_IMEM_SIZE : natural := 16*1024;
Expand Down
16 changes: 4 additions & 12 deletions rtl/core/neorv32_top.vhd
Original file line number Diff line number Diff line change
@@ -1,11 +1,10 @@
-- ================================================================================ --
-- NEORV32 SoC - Processor Top Entity --
-- -------------------------------------------------------------------------------- --
-- Check out the processor's online documentation for more information: --
-- > HQ: https://github.com/stnolting/neorv32 --
-- > Data Sheet: https://stnolting.github.io/neorv32 --
-- > User Guide: https://stnolting.github.io/neorv32/ug --
-- > Software Ref: https://stnolting.github.io/neorv32/sw/files.html --
-- HQ: https://github.com/stnolting/neorv32 --
-- Data Sheet: https://stnolting.github.io/neorv32 --
-- User Guide: https://stnolting.github.io/neorv32/ug --
-- Software Ref: https://stnolting.github.io/neorv32/sw/files.html --
-- -------------------------------------------------------------------------------- --
-- The NEORV32 RISC-V Processor - https://github.com/stnolting/neorv32 --
-- Copyright (c) NEORV32 contributors. --
Expand Down Expand Up @@ -63,9 +62,6 @@ entity neorv32_top is
HPM_NUM_CNTS : natural range 0 to 13 := 0; -- number of implemented HPM counters (0..13)
HPM_CNT_WIDTH : natural range 0 to 64 := 40; -- total size of HPM counters (0..64)

-- Atomic Memory Access - Reservation Set Granularity --
AMO_RVS_GRANULARITY : natural range 4 to 2**30 := 4; -- size in bytes, has to be a power of 2, min 4

-- Internal Instruction memory (IMEM) --
MEM_INT_IMEM_EN : boolean := false; -- implement processor-internal instruction memory
MEM_INT_IMEM_SIZE : natural := 16*1024; -- size of processor-internal instruction memory in bytes (use a power of 2)
Expand Down Expand Up @@ -713,9 +709,6 @@ begin
neorv32_bus_reservation_set_true:
if CPU_EXTENSION_RISCV_A generate
neorv32_bus_reservation_set_inst: entity neorv32.neorv32_bus_reservation_set
generic map (
GRANULARITY => AMO_RVS_GRANULARITY
)
port map (
clk_i => clk_i,
rstn_i => rstn_sys,
Expand Down Expand Up @@ -1604,7 +1597,6 @@ begin
MEM_INT_IMEM_SIZE => imem_size_c,
MEM_INT_DMEM_EN => MEM_INT_DMEM_EN,
MEM_INT_DMEM_SIZE => dmem_size_c,
AMO_RVS_GRANULARITY => AMO_RVS_GRANULARITY,
ICACHE_EN => ICACHE_EN,
ICACHE_NUM_BLOCKS => ICACHE_NUM_BLOCKS,
ICACHE_BLOCK_SIZE => ICACHE_BLOCK_SIZE,
Expand Down
2 changes: 0 additions & 2 deletions rtl/system_integration/neorv32_vivado_ip.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -59,8 +59,6 @@ entity neorv32_vivado_ip is
-- Hardware Performance Monitors (HPM) --
HPM_NUM_CNTS : natural range 0 to 13 := 0;
HPM_CNT_WIDTH : natural range 0 to 64 := 40;
-- Atomic Memory Access - Reservation Set Granularity --
AMO_RVS_GRANULARITY : natural range 4 to 2**30 := 4;
-- Internal Instruction memory --
MEM_INT_IMEM_EN : boolean := false;
MEM_INT_IMEM_SIZE : natural := 16*1024;
Expand Down
2 changes: 0 additions & 2 deletions sim/neorv32_tb.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -224,8 +224,6 @@ begin
-- Hardware Performance Monitors (HPM) --
HPM_NUM_CNTS => 12, -- number of implemented HPM counters (0..29)
HPM_CNT_WIDTH => 40, -- total size of HPM counters (0..64)
-- Atomic Memory Access - Reservation Set Granularity --
AMO_RVS_GRANULARITY => 4, -- size in bytes, has to be a power of 2, min 4
-- Internal Instruction memory --
MEM_INT_IMEM_EN => int_imem_c , -- implement processor-internal instruction memory
MEM_INT_IMEM_SIZE => imem_size_c, -- size of processor-internal instruction memory in bytes
Expand Down
2 changes: 0 additions & 2 deletions sim/simple/neorv32_tb.simple.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -196,8 +196,6 @@ begin
-- Hardware Performance Monitors (HPM) --
HPM_NUM_CNTS => 12, -- number of implemented HPM counters (0..29)
HPM_CNT_WIDTH => 40, -- total size of HPM counters (0..64)
-- Atomic Memory Access - Reservation Set Granularity --
AMO_RVS_GRANULARITY => 4, -- size in bytes, has to be a power of 2, min 4
-- Internal Instruction memory --
MEM_INT_IMEM_EN => int_imem_c , -- implement processor-internal instruction memory
MEM_INT_IMEM_SIZE => performance_options_c.imem_size_c(PERFORMANCE_OPTION), -- size of processor-internal instruction memory in bytes
Expand Down

0 comments on commit 333542b

Please sign in to comment.