Skip to content

Commit

Permalink
remove obsolete AMO_RVS_GRANULARITY generic
Browse files Browse the repository at this point in the history
  • Loading branch information
stnolting committed Jul 3, 2024
1 parent a1ccfae commit a8079d1
Showing 1 changed file with 0 additions and 2 deletions.
2 changes: 0 additions & 2 deletions src/neorv32_verilog_wrapper.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -44,8 +44,6 @@ begin
-- Hardware Performance Monitors (HPM) --
HPM_NUM_CNTS => 10, -- number of implemented HPM counters (0..13)
HPM_CNT_WIDTH => 40, -- total size of HPM counters (0..64)
-- Atomic Memory Access - Reservation Set Granularity --
AMO_RVS_GRANULARITY => 4, -- size in bytes, has to be a power of 2, min 4
-- Internal Instruction memory (IMEM) --
MEM_INT_IMEM_EN => true, -- implement processor-internal instruction memory
MEM_INT_IMEM_SIZE => 16*1024, -- size of processor-internal instruction memory in bytes
Expand Down

0 comments on commit a8079d1

Please sign in to comment.