Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[osflow/SPRAM] allow setting of IMEM/DMEM logical size #101

Merged
merged 1 commit into from
May 31, 2023

Conversation

stnolting
Copy link
Owner

The ice40 SPRAM blocks have a fixed physical size of 64kB. However, with this PR a smaller logical size can be "implemented" using the according IMEM/DMEM size generics.

@stnolting stnolting merged commit ff90388 into main May 31, 2023
54 of 58 checks passed
@stnolting stnolting deleted the spram_size_config branch May 31, 2023 18:57
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

1 participant