Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

UPduino Radiant project stale #173

Closed
babryce opened this issue Jun 5, 2024 · 4 comments
Closed

UPduino Radiant project stale #173

babryce opened this issue Jun 5, 2024 · 4 comments
Assignees

Comments

@babryce
Copy link

babryce commented Jun 5, 2024

The project appears to be missing the wishbone file.

Reversion to commit in March 2024 around the time of last update also fails to synthesis against the head here. Errors occur at generate statements in generic processor HDL (neorv32_top.vhd).

Radiant 2022.1.1.289.4/Symplify Pro S-2021.09LR-SP2

@stnolting
Copy link
Owner

Oh right, that seems to be outdated. I'll update that.

@stnolting stnolting self-assigned this Jun 5, 2024
stnolting added a commit that referenced this issue Jun 5, 2024
@stnolting
Copy link
Owner

stnolting commented Jun 5, 2024

Setup is updated and should be working now 😉
Thanks for the hint!

@babryce
Copy link
Author

babryce commented Jun 5, 2024

Synth worked on first attempt. Map did also. PnR failed on first attempt. Increased iterations to 10. 3 failures 7 successes. Looks like some seeds will fail.

Best Par Run
PAR: Place And Route Radiant Software (64-bit) 2022.1.1.289.4.
Command Line: par -w -t 10 -exp parPathBased=ON
neorv32_upduino_v3_impl_1_map.udb neorv32_upduino_v3_impl_1_par.dir/5_10.udb

Loading neorv32_upduino_v3_impl_1_map.udb ...
Loading device for application GENERIC from file 'itpa08.nph' in environment: C:/lscc/radiant/2022.1/ispfpga.
Package Status: Preliminary Version 1.5.
Performance Hardware Data Status: Advanced Version 1.0.

Design: neorv32_upduino_v3_top
Family: ice40tp
Device: iCE40UP5K
Package: SG48
Performance Grade: High-Performance_1.2V
Number of Signals: 7398
Number of Connections: 22200

Device utilization summary:

SLICE (est.) 2465/2640 93% used
LUT 4881/5280 92% used
REG 1791/5280 33% used
PIO 20/56 35% used
20/36 55% bonded
IOLOGIC 0/56 0% used
DSP 0/8 0% used
I2C 0/2 0% used
HFOSC 1/1 100% used
LFOSC 0/1 0% used
LEDDA_IP 0/1 0% used
RGBA_DRV 1/1 100% used
FILTER 0/2 0% used
SRAM 4/4 100% used
WARMBOOT 0/1 0% used
SPI 0/2 0% used
EBR 12/30 40% used
PLL 0/1 0% used
RGBOUTBUF 3/3 100% used
I3C 0/2 0% used
OPENDRAIN 0/3 0% used

Successful bitfile generation.

Used:
https://github.com/stnolting/neorv32-setups/tree/021c74adb0d8917af86f5f39c98a43a4acb3e526
https://github.com/hdl/constraints/tree/cd6ebf23edb1209c98b7d88b7167a4707e5372ef
https://github.com/stnolting/neorv32/tree/89d0f9d286f9ae2cdc987324b934ab17327a4d6a

Radiant 2022.1.1.289.4/Symplify Pro S-2021.09LR-SP2.

Thank you very much for the quick response. Now onto using it to learn about RISC-V!

@babryce babryce closed this as completed Jun 5, 2024
@stnolting
Copy link
Owner

PnR failed on first attempt. Increased iterations to 10. 3 failures 7 successes. Looks like some seeds will fail.

I've also encountered that issue... I'm not sure where that is coming from. Anyway, good to hear your setup works now! 👍

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants