Skip to content

Commit

Permalink
Merge pull request #103 from stnolting/dependabot/submodules/neorv32-…
Browse files Browse the repository at this point in the history
…0b80df2

[Dependabot]: Bump neorv32 from `174572e` to `0b80df2`
  • Loading branch information
stnolting committed Jun 26, 2023
2 parents c8503de + 0f28413 commit 30cb92d
Show file tree
Hide file tree
Showing 2 changed files with 17 additions and 13 deletions.
2 changes: 1 addition & 1 deletion neorv32
Submodule neorv32 updated 46 files
+4 −0 CHANGELOG.md
+2 −0 README.md
+1 −1 docs/datasheet/cpu.adoc
+3 −0 docs/datasheet/overview.adoc
+4 −0 docs/datasheet/soc.adoc
+15 −33 docs/datasheet/soc_buskeeper.adoc
+71 −0 docs/datasheet/soc_crc.adoc
+7 −2 docs/datasheet/soc_dma.adoc
+1 −1 docs/datasheet/soc_pwm.adoc
+3 −3 docs/datasheet/soc_sysinfo.adoc
+4 −2 docs/datasheet/soc_xip.adoc
+6 −2 docs/datasheet/software.adoc
+0 −21 docs/datasheet/software_rte.adoc
+ docs/figures/neorv32_processor.png
+6 −3 docs/userguide/debugging_with_ocd.adoc
+18 −90 rtl/core/neorv32_bus_keeper.vhd
+4 −4 rtl/core/neorv32_cpu.vhd
+6 −6 rtl/core/neorv32_cpu_alu.vhd
+225 −231 rtl/core/neorv32_cpu_control.vhd
+179 −0 rtl/core/neorv32_crc.vhd
+1 −1 rtl/core/neorv32_neoled.vhd
+36 −1,021 rtl/core/neorv32_package.vhd
+2 −2 rtl/core/neorv32_sdi.vhd
+2 −2 rtl/core/neorv32_slink.vhd
+2 −2 rtl/core/neorv32_spi.vhd
+3 −2 rtl/core/neorv32_sysinfo.vhd
+147 −157 rtl/core/neorv32_top.vhd
+1 −1 rtl/core/neorv32_trng.vhd
+2 −2 rtl/core/neorv32_uart.vhd
+2 −1 sim/neorv32_tb.vhd
+2 −1 sim/simple/neorv32_tb.simple.vhd
+2 −2 sw/bootloader/bootloader.c
+35 −15 sw/common/common.mk
+200 −0 sw/example/demo_crc/main.c
+4 −0 sw/example/demo_crc/makefile
+10 −21 sw/example/processor_check/main.c
+2 −2 sw/lib/include/neorv32.h
+34 −17 sw/lib/include/neorv32_crc.h
+1 −0 sw/lib/include/neorv32_sysinfo.h
+116 −0 sw/lib/source/neorv32_crc.c
+2 −2 sw/lib/source/neorv32_neoled.c
+2 −2 sw/lib/source/neorv32_onewire.c
+1 −18 sw/lib/source/neorv32_rte.c
+1 −1 sw/lib/source/neorv32_spi.c
+3 −5 sw/lib/source/neorv32_xip.c
+38 −34 sw/svd/neorv32.svd
28 changes: 16 additions & 12 deletions osflow/filesets.mk
Original file line number Diff line number Diff line change
Expand Up @@ -9,27 +9,31 @@ NEORV32_MEM_ENTITIES := \
$(RTL_CORE_SRC)/neorv32_dmem.entity.vhd \
$(RTL_CORE_SRC)/neorv32_imem.entity.vhd

# ordered list: leaf cells first
NEORV32_CORE_SRC := \
$(RTL_CORE_SRC)/neorv32_bootloader_image.vhd \
$(RTL_CORE_SRC)/neorv32_boot_rom.vhd \
$(RTL_CORE_SRC)/neorv32_bus_keeper.vhd \
$(RTL_CORE_SRC)/neorv32_busswitch.vhd \
$(RTL_CORE_SRC)/neorv32_cfs.vhd \
$(RTL_CORE_SRC)/neorv32_cpu.vhd \
$(RTL_CORE_SRC)/neorv32_cpu_alu.vhd \
$(RTL_CORE_SRC)/neorv32_cpu_bus.vhd \
$(RTL_CORE_SRC)/neorv32_fifo.vhd \
$(RTL_CORE_SRC)/neorv32_cpu_decompressor.vhd \
$(RTL_CORE_SRC)/neorv32_cpu_control.vhd \
$(RTL_CORE_SRC)/neorv32_cpu_cp_bitmanip.vhd \
$(RTL_CORE_SRC)/neorv32_cpu_cp_cfu.vhd \
$(RTL_CORE_SRC)/neorv32_cpu_cp_cond.vhd \
$(RTL_CORE_SRC)/neorv32_cpu_cp_fpu.vhd \
$(RTL_CORE_SRC)/neorv32_cpu_cp_muldiv.vhd \
$(RTL_CORE_SRC)/neorv32_cpu_cp_shifter.vhd \
$(RTL_CORE_SRC)/neorv32_cpu_decompressor.vhd \
$(RTL_CORE_SRC)/neorv32_cpu_alu.vhd \
$(RTL_CORE_SRC)/neorv32_cpu_bus.vhd \
$(RTL_CORE_SRC)/neorv32_cpu_regfile.vhd \
$(RTL_CORE_SRC)/neorv32_cpu.vhd \
$(RTL_CORE_SRC)/neorv32_bootloader_image.vhd \
$(RTL_CORE_SRC)/neorv32_boot_rom.vhd \
$(RTL_CORE_SRC)/neorv32_bus_keeper.vhd \
$(RTL_CORE_SRC)/neorv32_busswitch.vhd \
$(RTL_CORE_SRC)/neorv32_cfs.vhd \
$(RTL_CORE_SRC)/neorv32_crc.vhd \
$(RTL_CORE_SRC)/neorv32_debug_dm.vhd \
$(RTL_CORE_SRC)/neorv32_debug_dtm.vhd \
$(RTL_CORE_SRC)/neorv32_dcache.vhd \
$(RTL_CORE_SRC)/neorv32_dma.vhd \
$(RTL_CORE_SRC)/neorv32_fifo.vhd \
$(RTL_CORE_SRC)/neorv32_gpio.vhd \
$(RTL_CORE_SRC)/neorv32_gptmr.vhd \
$(RTL_CORE_SRC)/neorv32_icache.vhd \
Expand All @@ -41,14 +45,14 @@ NEORV32_CORE_SRC := \
$(RTL_CORE_SRC)/neorv32_slink.vhd \
$(RTL_CORE_SRC)/neorv32_spi.vhd \
$(RTL_CORE_SRC)/neorv32_sysinfo.vhd \
$(RTL_CORE_SRC)/neorv32_top.vhd \
$(RTL_CORE_SRC)/neorv32_trng.vhd \
$(RTL_CORE_SRC)/neorv32_twi.vhd \
$(RTL_CORE_SRC)/neorv32_uart.vhd \
$(RTL_CORE_SRC)/neorv32_wdt.vhd \
$(RTL_CORE_SRC)/neorv32_wishbone.vhd \
$(RTL_CORE_SRC)/neorv32_xip.vhd \
$(RTL_CORE_SRC)/neorv32_xirq.vhd
$(RTL_CORE_SRC)/neorv32_xirq.vhd \
$(RTL_CORE_SRC)/neorv32_top.vhd

# Before including this partial makefile, NEORV32_MEM_SRC needs to be set
# (containing two VHDL sources: one for IMEM and one for DMEM)
Expand Down

0 comments on commit 30cb92d

Please sign in to comment.