Skip to content

[osflow/SPRAM] allow setting of IMEM/DMEM logical size #408

[osflow/SPRAM] allow setting of IMEM/DMEM logical size

[osflow/SPRAM] allow setting of IMEM/DMEM logical size #408

Triggered via push May 31, 2023 18:36
Status Failure
Total duration 6m 43s
Artifacts 14
Matrix: All-in-one
Matrix: Windows
Fit to window
Zoom out
Zoom in

Annotations

2 errors and 1 warning
🟦 MINGW64 | ULX3S · MinimalBoot
Process completed with exit code 2.
🟦 MINGW64 | OrangeCrab · MinimalBoot
Process completed with exit code 2.
Matrix
The `set-output` command is deprecated and will be disabled soon. Please upgrade to using Environment Files. For more information see: https://github.blog/changelog/2022-10-11-github-actions-deprecating-save-state-and-set-output-commands/

Artifacts

Produced during runtime
Name Size
Fomu-Minimal Expired
102 KB
Fomu-MinimalBoot Expired
102 KB
Fomu-MixedLanguage Expired
102 KB
Fomu-UP5KDemo Expired
102 KB
IceZumAlhambraII-MinimalBoot Expired
132 KB
OrangeCrab-MinimalBoot Expired
600 KB
ULX3S-MinimalBoot Expired
374 KB
UPDuino-v3.0-MinimalBoot Expired
102 KB
UPDuino-v3.0-UP5KDemo Expired
102 KB
iCE40CW312-MinimalBoot Expired
102 KB
iCEBreaker-MinimalBoot Expired
102 KB
iCEBreaker-UP5KDemo Expired
102 KB
iCESugar-v1.5-Minimal Expired
102 KB
iCESugar-v1.5-MinimalBoot Expired
102 KB