Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[buffermgrd] Move switch-statement outside of if-statement in BufferMgr::doTask #3055

Merged

Commits on Feb 19, 2024

  1. [buffermgr] Moved switch statement outside of if-statmement in Buffer…

    …mgr::doTask
    
    The switch statement which would normally erase buffer events was moved
    to be inside the if-statement which would only enter if the event is a
    SET event. This was introduced in commit e5329c39.
    
    This would cause an infinite loop, since non-set events would never be
    erased.
    
    The switch statement has now been moved to occur outside the if,
    allowing for non-set commands to be processed.
    
    Signed-off-by: mazora <mazora@marvell.com>
    amazor committed Feb 19, 2024
    Configuration menu
    Copy the full SHA
    8aa5aba View commit details
    Browse the repository at this point in the history
  2. Configuration menu
    Copy the full SHA
    da15600 View commit details
    Browse the repository at this point in the history

Commits on Mar 10, 2024

  1. Add Test for Code Coverage

    Adding test to increase code coverage. Since this test is a
    "negative" test, meaning that it should not be a valid configurations,
    I only validate if the test succeeds based off of syslogs.
    
    Added imports for type hinting.
    amazor committed Mar 10, 2024
    Configuration menu
    Copy the full SHA
    47573f8 View commit details
    Browse the repository at this point in the history
  2. Changed get value to use get() function in test

    Getting value by indexing could cause KeyError if value
    does not exist, instead call get() function which will return
    None if value does not exist.
    
    Also moved add_log_marker() function earlier to not accidently miss
    the syslog.
    amazor committed Mar 10, 2024
    Configuration menu
    Copy the full SHA
    1306f0e View commit details
    Browse the repository at this point in the history
  3. Fix call to check_syslog()

    check_syslog() did not have "self" param
    
    call to check_syslog did not send dvs arg
    amazor committed Mar 10, 2024
    Configuration menu
    Copy the full SHA
    98b2304 View commit details
    Browse the repository at this point in the history