-
Notifications
You must be signed in to change notification settings - Fork 0
/
tb_main.v
40 lines (31 loc) · 839 Bytes
/
tb_main.v
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
////////////////////////////////////////
// Creator: Massimo "Bill" Zannoni
//
//
// /| /|
// _/ |___ ||
// /__ ___ \ /| ||
// | | \ \ /| || ||
// _| |___/ / |/ || ||
// /_ __ / || ||
// | | \ \ /| || ||
// ______| |___/ / || || ||
// /_______ ____/ |/ |/ ||
// | | |/
// | /
// |/
//
////////////////////////////////////////
module tb_main;
reg nxt = 0;
reg dir = 0;
wire [2:0] out_num;
initial begin
$dumpfile("../output/outwv.vcd");
$dumpvars();
#400 $finish;
end
blind_cycler uut (dir, nxt, out_num);
always #20 nxt = !nxt;
always #200 dir = 1'b1;
endmodule // tb_main