Skip to content

Latest commit

 

History

History
13 lines (11 loc) · 326 Bytes

ghdl_command_notes.md

File metadata and controls

13 lines (11 loc) · 326 Bytes

Basic commands for tb_main.vhdl test-bench

Analyze, elaborate and run:

❯ ghdl -a --workdir=work_vhdl vhdl_src/*.vhdl
❯ ghdl -e --workdir=work_vhdl tb_main
❯ ghdl -r --workdir=work_vhdl tb_main --wave=output/outwv.ghw --stop-time=20us

And to visualize with GTKWave:

❯ gtkwave output/outwv.ghw &