Skip to content

Implementing pseudo random number generators for AVR's

Notifications You must be signed in to change notification settings

kazdyrkeicam/PRNG_xorshift

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

15 Commits
 
 
 
 
 
 
 
 

Repository files navigation

PRNG_xorshift

Implementing PRNG (Pseudo Random Number Generator) for AVR's.

Goal

Create light-weight PRNG using bit shifts and XOR operations to achieve fast and "well" random numbers calculated by 8-bit processors
Randomness is NOT TESTED

Hardware

  • Atmega32U4

Bibliography

About

Implementing pseudo random number generators for AVR's

Topics

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published

Languages