Skip to content

VHDL library of high abstraction level synthesizable mathematical functions for multiplication, division and sin/cos functionalities and abc to dq transforms.

License

Notifications You must be signed in to change notification settings

hVHDL/hVHDL_fixed_point

Repository files navigation

math_library

library of high level synthesizable mathematical functions for example multiplication, division and sin/cos functionalities The modules are delivered as packages that contain the record definition. The modules only require the multiplier_pkg and the _pkg.vhd. The units are created by instantiating the record type signal and corresponding create procedure. All of the modules are tested with intel cyclone 10lp, efinix titanium and xilinx artix 7 fpgas

The modules are simulated using ghdl and vunit

I have also written blog posts on the design of the arithmetic modules.

Multiplier :

https://hardwaredescriptions.com/math-be-fruitful-and-multiply/

division :

https://hardwaredescriptions.com/conquer-the-divide/

Sine and cosine :

https://hardwaredescriptions.com/category/vhdl-integer-arithmetic/sine-and-cosine/

Square root :

https://www.embeddedrelated.com/showarticle/1558.php

About

VHDL library of high abstraction level synthesizable mathematical functions for multiplication, division and sin/cos functionalities and abc to dq transforms.

Topics

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published