Skip to content

Commit

Permalink
Fix autoloads to major-mode and setup functions
Browse files Browse the repository at this point in the history
  • Loading branch information
gmlarumbe committed Sep 11, 2023
1 parent 345b4e7 commit 6cd10a2
Show file tree
Hide file tree
Showing 4 changed files with 1 addition and 11 deletions.
7 changes: 0 additions & 7 deletions verilog-ext-compile.el
Original file line number Diff line number Diff line change
Expand Up @@ -162,7 +162,6 @@ ARGS is a property list."
:compile-re verilog-ext-compile-verilator-re
:buf-name verilog-ext-compile-verilator-buf)

;;;###autoload (autoload 'verilog-ext-compile-verilator "verilog-ext-compile.el")
(verilog-ext-compile-define-fn verilog-ext-compile-verilator
:docstring "Compile Verilator COMMAND with error regexp highlighting."
:buf verilog-ext-compile-verilator-buf
Expand All @@ -174,7 +173,6 @@ ARGS is a property list."
:compile-re verilog-ext-compile-iverilog-re
:buf-name verilog-ext-compile-iverilog-buf)

;;;###autoload (autoload 'verilog-ext-compile-iverilog "verilog-ext-compile.el")
(verilog-ext-compile-define-fn verilog-ext-compile-iverilog
:docstring "Compile Iverilog COMMAND with error regexp highlighting."
:buf verilog-ext-compile-iverilog-buf
Expand All @@ -186,7 +184,6 @@ ARGS is a property list."
:compile-re verilog-ext-compile-verible-re
:buf-name verilog-ext-compile-verible-buf)

;;;###autoload (autoload 'verilog-ext-compile-verible "verilog-ext-compile.el")
(verilog-ext-compile-define-fn verilog-ext-compile-verible
:docstring "Compile Verible COMMAND with error regexp highlighting."
:buf verilog-ext-compile-verible-buf
Expand All @@ -198,7 +195,6 @@ ARGS is a property list."
:compile-re verilog-ext-compile-slang-re
:buf-name verilog-ext-compile-slang-buf)

;;;###autoload (autoload 'verilog-ext-compile-slang "verilog-ext-compile.el")
(verilog-ext-compile-define-fn verilog-ext-compile-slang
:docstring "Compile Slang COMMAND with error regexp highlighting."
:buf verilog-ext-compile-slang-buf
Expand All @@ -210,7 +206,6 @@ ARGS is a property list."
:compile-re verilog-ext-compile-svlint-re
:buf-name verilog-ext-compile-svlint-buf)

;;;###autoload (autoload 'verilog-ext-compile-svlint "verilog-ext-compile.el")
(verilog-ext-compile-define-fn verilog-ext-compile-svlint
:docstring "Compile Svlint COMMAND with error regexp highlighting."
:buf verilog-ext-compile-svlint-buf
Expand All @@ -222,15 +217,13 @@ ARGS is a property list."
:compile-re verilog-ext-compile-surelog-re
:buf-name verilog-ext-compile-surelog-buf)

;;;###autoload (autoload 'verilog-ext-compile-surelog "verilog-ext-compile.el")
(verilog-ext-compile-define-fn verilog-ext-compile-surelog
:docstring "Compile Surelog COMMAND with error regexp highlighting."
:buf verilog-ext-compile-surelog-buf
:comp-mode verilog-ext-compile-surelog-mode)


;;;; Other compilation commands
;;;###autoload
(defun verilog-ext-preprocess ()
"Preprocess current file.
Choose among different available programs and update `verilog-preprocessor'
Expand Down
1 change: 0 additions & 1 deletion verilog-ext-flycheck.el
Original file line number Diff line number Diff line change
Expand Up @@ -420,7 +420,6 @@ be undefined when defining the checker."
(add-to-list 'flycheck-checkers checker))
(verilog-ext-flycheck-set-linter verilog-ext-flycheck-linter))

;;;###autoload
(defun verilog-ext-flycheck-mode (&optional uarg)
"`flycheck-mode' Verilog wrapper function.
If called with UARG select among available linters and enable flycheck."
Expand Down
3 changes: 1 addition & 2 deletions verilog-ext-hierarchy.el
Original file line number Diff line number Diff line change
Expand Up @@ -517,7 +517,7 @@ Optional arg MODULE will set the name of the display buffer, if provided."
(verilog-ext-hierarchy-outshine-nav-mode))
(pop-to-buffer buf)))

;;;; Common/autoloads
;;;; Common
(defun verilog-ext-hierarchy-setup ()
"Setup hierarchy backend/frontend depending on available binaries/packages.
If these have been set before, keep their values."
Expand Down Expand Up @@ -575,7 +575,6 @@ Optional arg MODULE will set the name of the display buffer, if provided."
;; Fallback
(t (error "Must set a proper display frontend in `verilog-ext-hierarchy-frontend'")))))

;;;###autoload
(defun verilog-ext-hierarchy-current-buffer ()
"Extract and display hierarchy for module of `current-buffer'."
(interactive)
Expand Down
1 change: 0 additions & 1 deletion verilog-ext-workspace.el
Original file line number Diff line number Diff line change
Expand Up @@ -491,7 +491,6 @@ Compiles them with various verilog regexps."


;;;; Compilation
;;;###autoload
(defun verilog-ext-workspace-compile ()
"Compile using command of `verilog-ext-workspace-compile-cmd'.
Depending on the command, different syntax highlight will be applied.
Expand Down

0 comments on commit 6cd10a2

Please sign in to comment.