Skip to content

Commit

Permalink
Update uvm snippets
Browse files Browse the repository at this point in the history
  • Loading branch information
gmlarumbe committed May 24, 2023
1 parent 35db57a commit 0896c51
Show file tree
Hide file tree
Showing 3 changed files with 3 additions and 3 deletions.
2 changes: 1 addition & 1 deletion snippets/verilog-mode/uvm_info
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
# -*- mode: snippet -*-
# key: ui
# --
\`uvm_info(${1:get_name()}, ${2:$sformatf("${3:<Message string>: %s}", ${4:<arg>})}, ${5:UVM_MEDIUM})
\`uvm_info(${1:get_name()}, ${2:$sformatf("${3:<Message string>: %s}"${4:, ${5:<arg>}})}, ${6:UVM_MEDIUM})
$0
2 changes: 1 addition & 1 deletion snippets/verilog-mode/uvm_object
Original file line number Diff line number Diff line change
Expand Up @@ -7,7 +7,7 @@ class ${1:class_name} extends ${2:uvm_sequence_item};

$0

function new(string name);
function new(string name = "$1");
super.new(name);
endfunction : new

Expand Down
2 changes: 1 addition & 1 deletion snippets/verilog-mode/uvm_typeid_create
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
# -*- mode: snippet -*-
# key: ut
# --
${1:name} = ${2:class_name}::type_id::create("$1", this);
${1:name} = ${2:class_name}::type_id::create("$1"${3:, this});
$0

0 comments on commit 0896c51

Please sign in to comment.