Skip to content

filegeiasou/Mips-Processor

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

34 Commits
 
 
 
 

Repository files navigation

32-bit Single Cycle MIPS Processor.

addi $3, $0, 1      <-> 001000 00000 00011 0000000000000001
addi $5, $0, 3      <-> 001000 00000 00101 0000000000000011

L1: add $6, $3, $0  <-> 000000 00011 00000 00110 00000 100000
sw $6, 0($4)        <-> 101011 00100 00110 0000000000000000
addi $3, $3, 1      <-> 001000 00011 00011 0000000000000001
addi $4, $4, 1      <-> 001000 00100 00100 0000000000000001
addi $5, $5, -1     <-> 001000 00101 00101 1111111111111111
bne $5, $0, L1      <-> 000101 00000 00101 1111111111111011

About

Mips Processor 32bits with Vhdl single circle

Topics

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published

Languages