Skip to content

cztee/VHDL_exercise_Malvino

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

3 Commits
 
 
 
 
 
 
 
 

Repository files navigation

The VHDL codes are simulated using GHDL. Steps:

  1. ghdl -a XXXX.vhdl
  2. ghdl -a XXXX_tb.vhdl
  3. ghdl -r entity_name_in_TB --vcd=XXXX.vcd
  4. gtkwave XXXX.vcd

About

No description, website, or topics provided.

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published

Languages