Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

fix: align obs_names of mod2 to mod1 when necessary #380

Merged
merged 19 commits into from
Dec 18, 2023

Conversation

xingzhongyu
Copy link
Collaborator

@xingzhongyu xingzhongyu commented Dec 10, 2023

Modified the test epochs of match modality-scmognn-gex2adt_subset to prevent minimum_weight_full_matching from reporting an error cost matrix is ​​infeasible. In addition, the obs_names of mod2 that are numbers in match_modality are aligned with the obs_names of mod1. However, it should be noted that these are all in Modified locally, I will test it again when my vscode can be directly connected to github.
I have tested it in new server.
Update datasets with appropriate batch labels.
Currently I am still copying and pasting updates, I will try to connect directly soon.

xingzhongyu and others added 5 commits December 10, 2023 15:42
When the test epochs are too small, the cost matrix is ​​infeasible will occur in minimum_weight_full_matching
Obs_names of mod2 that are numbers can be aligned to obs_names of mod1
tests/test_bench.py Outdated Show resolved Hide resolved
@RemyLau RemyLau added the bug Something isn't working label Dec 11, 2023
@RemyLau RemyLau changed the title Preprocessing benchmark fix: align obs_names of mod2 to mod1 when necessary Dec 18, 2023
@RemyLau RemyLau changed the title fix: align obs_names of mod2 to mod1 when necessary fix: align obs_names of mod2 to mod1 when necessary Dec 18, 2023
@RemyLau RemyLau merged commit ff2590f into main Dec 18, 2023
10 of 18 checks passed
@RemyLau RemyLau deleted the preprocessing_benchmark branch December 18, 2023 03:57
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
bug Something isn't working
Projects
None yet
Development

Successfully merging this pull request may close these issues.

2 participants