Skip to content
Change the repository type filter

All

    Repositories list

    • KiCAD Plugin to change all pads 2 rectangle pads
      Python
      GNU General Public License v3.0
      1000Updated Mar 10, 2024Mar 10, 2024
    • figure

      Public
      Rendering JSON Image to a list of Figures
      GNU General Public License v3.0
      0000Updated Dec 3, 2022Dec 3, 2022
    • atmega

      Public
      Libraries for different ATmega controllers
      C
      GNU General Public License v3.0
      0000Updated Dec 1, 2022Dec 1, 2022
    • argument

      Public
      Library to read arguments from command line
      C#
      GNU General Public License v3.0
      1100Updated Jul 17, 2022Jul 17, 2022
    • Library to load DLLs dynamically
      C#
      GNU General Public License v3.0
      1100Updated Jul 17, 2022Jul 17, 2022
    • bootstrap

      Public
      Library that supports bootstrapping of applications
      C#
      GNU General Public License v3.0
      0000Updated Jul 17, 2022Jul 17, 2022
    • exception

      Public
      Base exception library used in whole RaGae.* namespace
      C#
      GNU General Public License v3.0
      1000Updated Jul 17, 2022Jul 17, 2022
    • model

      Public
      Libraries which contains data model templates
      C#
      GNU General Public License v3.0
      0000Updated Jul 17, 2022Jul 17, 2022
    • .matrix

      Public
      . matrix display controller
      C
      0000Updated Jul 1, 2022Jul 1, 2022
    • ublocks

      Public
      μ-Blocks for .matrix display
      GNU General Public License v3.0
      0000Updated Apr 6, 2022Apr 6, 2022
    • lamp2

      Public
      WIFI controllable RGB LED lamp
      GNU General Public License v3.0
      0000Updated Mar 21, 2022Mar 21, 2022
    • blocks

      Public
      Moving Blocks (Tetris) game
      Rich Text Format
      GNU General Public License v3.0
      1100Updated Dec 16, 2021Dec 16, 2021
    • lamp

      Public
      Manufacturing plan of a fully controllable (RGB) lamp over wireless lan and/or button that can be configured over a software. The computer that runs the software can also be alerted if a lamp event occurs.
      C
      0000Updated Dec 3, 2021Dec 3, 2021
    • SUNriaX Github Page
      0000Updated Jun 29, 2021Jun 29, 2021
    • update

      Public
      Library to update software from different sources with user defined models.
      C#
      GNU General Public License v3.0
      1200Updated Oct 29, 2020Oct 29, 2020
    • screen

      Public
      GNU General Public License v3.0
      0000Updated Jul 30, 2020Jul 30, 2020
    • script

      Public
      Shell
      GNU General Public License v3.0
      0100Updated Mar 26, 2020Mar 26, 2020
    • STK500

      Public
      Hardware abstraction libraries
      C
      Other
      1100Updated Mar 26, 2020Mar 26, 2020
    • board

      Public
      C
      0000Updated Nov 7, 2019Nov 7, 2019
    • bob3

      Public
      Makefile
      1000Updated Oct 29, 2019Oct 29, 2019
    • manual

      Public
      Documentation of SUNriaX Github Repositories
      Other
      0000Updated Oct 29, 2019Oct 29, 2019
    • utility

      Public
      Utility Software for module and bus management
      C#
      Other
      0000Updated Oct 20, 2018Oct 20, 2018
    • STK600

      Public
      Hardware Libraries
      Shell
      Other
      0000Updated Oct 20, 2018Oct 20, 2018
    • module

      Public
      Module repository
      C
      Other
      0000Updated Oct 20, 2018Oct 20, 2018
    • basys3

      Public
      Diffent VHDL templates for module and other libraries
      VHDL
      Other
      0200Updated Oct 20, 2018Oct 20, 2018
    • HTL-Rankweil Diplomarbeit
      Eagle
      Other
      0000Updated May 24, 2017May 24, 2017
    • toolbox

      Public
      Software to manage the elmProject
      C#
      Other
      0000Updated May 13, 2017May 13, 2017
    • source

      Public
      C/C++/C#/QT/php/python/mysql/VHDL/Matlab Source Code and Examples
      Makefile
      GNU General Public License v3.0
      0100Updated May 12, 2017May 12, 2017
    • labor

      Public
      VHDL
      0100Updated Oct 5, 2016Oct 5, 2016
    • TInspire

      Public
      TInspire Programs/Functions
      0000Updated Sep 29, 2016Sep 29, 2016