Skip to content

Commit

Permalink
Test fixes
Browse files Browse the repository at this point in the history
  • Loading branch information
krllvv committed Dec 27, 2023
1 parent 54fab31 commit 487f71a
Showing 1 changed file with 5 additions and 5 deletions.
10 changes: 5 additions & 5 deletions tasks/task_3/kirillov_m_radix_double_simple/main.cpp
Original file line number Diff line number Diff line change
Expand Up @@ -17,7 +17,7 @@ TEST(Radix_Double_Simple_Merge, Test_Radix1) {
if (world.rank() == 0) {
auto seq = getSeqRadixSortSimpleMerge(vec);
for (size_t i = 0; i < vec.size(); ++i) {
EXPECT_EQ(seq[i], par[i]);
EXPECT_NEAR(seq[i], par[i], 1e-5);
}
}
}
Expand All @@ -34,7 +34,7 @@ TEST(Radix_Double_Simple_Merge, Test_Radix2) {
if (world.rank() == 0) {
auto seq = getSeqRadixSortSimpleMerge(vec);
for (size_t i = 0; i < vec.size(); ++i) {
EXPECT_EQ(seq[i], par[i]);
EXPECT_NEAR(seq[i], par[i], 1e-5);
}
}
}
Expand All @@ -51,7 +51,7 @@ TEST(Radix_Double_Simple_Merge, Test_Radix3) {
if (world.rank() == 0) {
auto seq = getSeqRadixSortSimpleMerge(vec);
for (size_t i = 0; i < vec.size(); ++i) {
EXPECT_EQ(seq[i], par[i]);
EXPECT_NEAR(seq[i], par[i], 1e-5);
}
}
}
Expand All @@ -68,7 +68,7 @@ TEST(Radix_Double_Simple_Merge, Test_Radix4) {
if (world.rank() == 0) {
auto seq = getSeqRadixSortSimpleMerge(vec);
for (size_t i = 0; i < vec.size(); ++i) {
EXPECT_EQ(seq[i], par[i]);
EXPECT_NEAR(seq[i], par[i], 1e-5);
}
}
}
Expand All @@ -87,7 +87,7 @@ TEST(Radix_Double_Simple_Merge, Test_Radix5) {
if (world.rank() == 0) {
auto seq = getSeqRadixSortSimpleMerge(vec);
for (size_t i = 0; i < vec.size(); ++i) {
EXPECT_EQ(sorted[i], seq[i]);
EXPECT_NEAR(seq[i], par[i], 1e-2);
}
}
}
Expand Down

0 comments on commit 487f71a

Please sign in to comment.