Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

cpu/neorv32/core: Avoid configure_litex_core_complex by passing param… #1460

Merged
merged 1 commit into from
Oct 12, 2022

Conversation

enjoy-digital
Copy link
Owner

…s to new VHD2VConverter.

Parameters are passed to Yosys to configure them at the top level before VHDL->Verilog conversion.

…s to new VHD2VConverter.

Parameters are passed to Yosys to configure them at the top level before VHDL->Verilog conversion.
@enjoy-digital enjoy-digital merged commit 808cf1a into master Oct 12, 2022
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

2 participants