Skip to content

Commit

Permalink
fix
Browse files Browse the repository at this point in the history
  • Loading branch information
esthete014 committed Apr 7, 2024
1 parent 9f22f15 commit 6733231
Showing 1 changed file with 6 additions and 0 deletions.
6 changes: 6 additions & 0 deletions src/TimedDoor.cpp
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,12 @@ void Timer::tregister(int timeout, TimerClient *adapter) {
sleep(timeout);
}

void Timer::sleep(int timeout) {
for (int i = 0; i < timeout; i++) {
i--;
}
}

bool TimedDoor::isDoorOpened() {
return isOpened;
}
Expand Down

0 comments on commit 6733231

Please sign in to comment.