Skip to content

Commit

Permalink
style: minor test comments improvements
Browse files Browse the repository at this point in the history
  • Loading branch information
wzieba committed Oct 26, 2023
1 parent cda6762 commit 626d162
Showing 1 changed file with 2 additions and 2 deletions.
Original file line number Diff line number Diff line change
Expand Up @@ -32,7 +32,7 @@ internal class HeartbeatIntervalCalculatorTest {
val result = sut.calculate(startTime)

// then
// ((currentTime + offset) * BACKOFF_PROPORTION) * 1000
// ((currentTime + offset) * backoff) and then in milliseconds
// (0 + 35) * 0.3 * 1000 = 10500
assertThat(result).isEqualTo(10500)
}
Expand Down Expand Up @@ -67,7 +67,7 @@ internal class HeartbeatIntervalCalculatorTest {
val result = sut.calculate(startTime)

// then
// ((currentTime + offset) * BACKOFF_PROPORTION) * 1000
// ((currentTime + offset) * backoff) and then in milliseconds
// (2 + 35) * 0.3 * 1000 = 11100
assertThat(result).isEqualTo(11100)
}
Expand Down

0 comments on commit 626d162

Please sign in to comment.