diff --git a/spec/libsass-todo-issues/issue_201/expected_output.css b/spec/libsass-todo-issues/issue_201/expected_output.css new file mode 100644 index 0000000000..64bbd8dae9 --- /dev/null +++ b/spec/libsass-todo-issues/issue_201/expected_output.css @@ -0,0 +1,2 @@ +a, b { + color: red; } diff --git a/spec/libsass-todo-issues/issue_201/input.scss b/spec/libsass-todo-issues/issue_201/input.scss new file mode 100644 index 0000000000..fb7cbade1a --- /dev/null +++ b/spec/libsass-todo-issues/issue_201/input.scss @@ -0,0 +1 @@ +a, b, { color: red; } \ No newline at end of file