{"payload":{"pageCount":25,"repositories":[{"type":"Public","name":"verilator","owner":"antmicro","isFork":true,"description":"Verilator open-source SystemVerilog simulator and lint system","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":0,"starsCount":19,"forksCount":575,"license":"GNU Lesser General Public License v3.0","participation":[27,23,38,14,13,20,23,47,25,19,27,4,13,14,10,8,17,14,20,9,19,28,9,15,4,13,29,26,21,16,18,6,7,3,9,21,10,4,6,7,18,20,5,7,11,25,15,26,9,7,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-27T16:13:32.467Z"}},{"type":"Public","name":"OpenROAD-flow-scripts","owner":"antmicro","isFork":true,"description":"OpenROAD's scripts implementing an RTL-to-GDS Flow. Documentation at https://openroad-flow-scripts.readthedocs.io/en/latest/","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":272,"license":"Other","participation":[40,14,23,32,25,29,35,24,20,27,30,17,34,19,22,33,24,17,18,26,18,21,6,19,17,21,22,24,48,41,34,54,33,36,34,33,50,45,10,36,26,27,29,33,40,42,5,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-27T15:52:16.045Z"}},{"type":"Public","name":"OpenROAD","owner":"antmicro","isFork":true,"description":"OpenROAD's unified application implementing an RTL-to-GDS Flow. Documentation at https://openroad.readthedocs.io/en/latest/","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":522,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-27T15:51:34.033Z"}},{"type":"Public","name":"libtock-c","owner":"antmicro","isFork":true,"description":"Userland apps for Tock written in C and C++","allTopics":[],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":88,"license":"Apache License 2.0","participation":[6,5,1,0,1,4,1,4,0,2,1,0,0,1,3,2,15,0,10,12,8,8,12,16,9,2,5,18,21,34,26,58,22,60,32,52,77,2,7,4,15,6,15,6,6,0,2,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-27T15:47:27.255Z"}},{"type":"Public","name":"tockloader","owner":"antmicro","isFork":true,"description":"Tool for programming Tock onto hardware boards.","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":47,"license":"MIT License","participation":[2,0,0,0,0,3,0,0,0,3,1,0,0,0,0,0,0,0,0,2,4,4,0,1,2,0,6,3,0,3,0,0,0,0,0,1,5,0,0,0,0,2,2,8,4,1,5,1,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-27T15:42:03.710Z"}},{"type":"Public","name":"axi-vip","owner":"antmicro","isFork":true,"description":"","allTopics":[],"primaryLanguage":{"name":"SystemVerilog","color":"#DAE1C2"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":1,"license":"ISC License","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-27T14:54:53.923Z"}},{"type":"Public","name":"rdfm","owner":"antmicro","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":8,"forksCount":3,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-27T14:13:19.448Z"}},{"type":"Public","name":"renode-ws-proxy","owner":"antmicro","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"Apache License 2.0","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,6,3,4],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-27T14:00:27.704Z"}},{"type":"Public","name":"renode-systemc-examples","owner":"antmicro","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"CMake","color":"#DA3434"},"pullRequestCount":1,"issueCount":0,"starsCount":3,"forksCount":2,"license":"Apache License 2.0","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,1,1,0,0,0,0,0,0,0,2],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-27T13:32:31.440Z"}},{"type":"Public","name":"pigweed-mimxrt595-samples","owner":"antmicro","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Starlark","color":"#76d275"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"Apache License 2.0","participation":[36,57,66,64,31,65,36,37,47,33,45,45,23,58,42,47,14,5,37,19,41,35,49,48,36,25,13,27,28,26,31,18,13,26,30,29,21,27,21,24,35,25,25,30,15,35,33,23,11,3,7,17],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-27T12:01:15.236Z"}},{"type":"Public","name":"pigweed","owner":"antmicro","isFork":false,"description":"Fork of https://pigweed.googlesource.com/pigweed/pigweed","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":1,"issueCount":0,"starsCount":1,"forksCount":1,"license":"Apache License 2.0","participation":[49,102,79,56,34,74,39,42,62,30,53,50,27,80,54,54,14,5,38,42,44,38,57,52,60,45,40,58,65,56,83,34,35,55,60,71,44,51,42,58,102,39,78,81,27,101,159,61,55,61,11,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-27T12:00:13.441Z"}},{"type":"Public","name":"renode-verilator-integration","owner":"antmicro","isFork":false,"description":"This repository contains sample code integrating Renode with Verilator","allTopics":[],"primaryLanguage":{"name":"CMake","color":"#DA3434"},"pullRequestCount":0,"issueCount":2,"starsCount":15,"forksCount":8,"license":"MIT License","participation":[0,0,0,0,1,0,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,4,0,0,0,2,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-27T11:56:10.855Z"}},{"type":"Public","name":"zephyr","owner":"antmicro","isFork":true,"description":"Primary GIT Repository for the Zephyr Project","allTopics":[],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":1,"issueCount":1,"starsCount":9,"forksCount":6349,"license":"Apache License 2.0","participation":[305,358,327,345,425,346,331,277,289,271,307,370,315,345,262,137,90,16,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-27T11:51:50.962Z"}},{"type":"Public","name":"renode","owner":"antmicro","isFork":true,"description":"Renode - virtual development tool for multinode embedded networks","allTopics":[],"primaryLanguage":{"name":"RobotFramework","color":"#00c0b5"},"pullRequestCount":0,"issueCount":0,"starsCount":27,"forksCount":272,"license":"Other","participation":[11,20,25,14,18,18,15,25,23,23,19,20,15,17,21,22,20,4,15,23,30,22,25,33,22,27,18,22,20,30,11,23,22,40,19,13,20,34,19,13,15,27,23,20,17,14,12,21,26,21,15,13],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-27T10:59:14.260Z"}},{"type":"Public","name":"tlib","owner":"antmicro","isFork":true,"description":"","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":2,"issueCount":0,"starsCount":13,"forksCount":26,"license":"Other","participation":[1,15,10,11,16,12,7,13,6,9,8,13,12,22,2,4,8,1,1,3,2,2,5,7,6,2,6,2,7,3,2,3,10,11,10,8,5,4,2,2,7,9,25,8,3,5,0,2,5,1,4,3],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-27T10:58:30.273Z"}},{"type":"Public","name":"llvm-project","owner":"antmicro","isFork":true,"description":"The LLVM Project is a collection of modular and reusable compiler and toolchain technologies.","allTopics":[],"primaryLanguage":{"name":"LLVM","color":"#185619"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":11369,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-27T08:58:52.832Z"}},{"type":"Public","name":"Cores-VeeR-EL2","owner":"antmicro","isFork":true,"description":"VeeR EL2 Core","allTopics":[],"primaryLanguage":{"name":"SystemVerilog","color":"#DAE1C2"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":70,"license":"Apache License 2.0","participation":[12,10,23,25,26,22,4,0,0,2,4,3,11,9,20,8,4,0,2,2,0,0,0,0,0,1,0,0,0,0,1,4,12,9,14,14,16,10,22,11,18,16,9,5,13,18,22,16,8,3,4,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-27T08:42:35.948Z"}},{"type":"Public","name":"verilator-verification-features-tests","owner":"antmicro","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"SystemVerilog","color":"#DAE1C2"},"pullRequestCount":0,"issueCount":0,"starsCount":12,"forksCount":5,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-27T07:39:12.240Z"}},{"type":"Public","name":"verible-indexer","owner":"antmicro","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":3,"license":"Apache License 2.0","participation":[3,1,2,1,1,2,3,1,2,4,3,1,3,2,5,4,3,0,4,4,3,2,1,1,3,2,2,2,3,3,4,3,2,1,3,4,1,2,1,2,4,5,3,2,5,3,4,5,3,3,2,3],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-27T00:12:03.001Z"}},{"type":"Public","name":"hardware-components","owner":"antmicro","isFork":false,"description":"","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":17,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-26T19:27:09.236Z"}},{"type":"Public","name":"topwrap","owner":"antmicro","isFork":false,"description":"A Python package for generating HDL wrappers and top modules for HDL sources","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":1,"starsCount":16,"forksCount":1,"license":"Apache License 2.0","participation":[17,10,14,0,1,1,0,1,0,5,1,1,4,2,1,1,2,0,2,1,4,1,4,0,3,1,4,2,3,11,2,4,2,2,5,0,2,0,0,0,0,0,0,0,0,0,7,5,6,8,3,4],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-26T11:49:27.455Z"}},{"type":"Public","name":"kenning-zephyr-runtime","owner":"antmicro","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":1,"starsCount":5,"forksCount":1,"license":null,"participation":[0,0,0,0,0,0,0,0,1,0,0,0,0,8,15,0,0,0,2,31,9,3,20,19,21,13,4,0,0,13,9,10,5,0,1,1,0,0,1,0,1,0,0,0,1,0,0,0,0,0,0,1],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-24T11:06:54.895Z"}},{"type":"Public","name":"f4pga-arch-defs","owner":"antmicro","isFork":true,"description":"FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.","allTopics":[],"primaryLanguage":{"name":"Jupyter Notebook","color":"#DA5B0B"},"pullRequestCount":10,"issueCount":0,"starsCount":1,"forksCount":112,"license":"ISC License","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-24T03:04:40.693Z"}},{"type":"Public","name":"kenning","owner":"antmicro","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":1,"starsCount":56,"forksCount":3,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-23T21:03:22.517Z"}},{"type":"Public","name":"jetson-orin-baseboard","owner":"antmicro","isFork":false,"description":"Baseboard targetting the NVIDIA Jetson Orin Nano and Jetson Orin NX","allTopics":[],"primaryLanguage":null,"pullRequestCount":1,"issueCount":2,"starsCount":77,"forksCount":20,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-23T18:32:42.764Z"}},{"type":"Public","name":"renode-vscode-extension","owner":"antmicro","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"TypeScript","color":"#3178c6"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"Apache License 2.0","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,7,6,6],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-23T13:15:53.552Z"}},{"type":"Public","name":"cubesat-software-builder","owner":"antmicro","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-23T12:42:26.430Z"}},{"type":"Public","name":"usb-c-power-adapter","owner":"antmicro","isFork":false,"description":"PCB desgin which negotiates power profile over USB-C Power Delivery and exposes negotiated power rail on a wire-to-board connector","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":0,"license":"Apache License 2.0","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,6,25,55,34,0,0,1,0,0,6,0,0,0,0,4,4,1,14,0,2,0,3,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-23T10:35:36.116Z"}},{"type":"Public","name":"riscv-dv","owner":"antmicro","isFork":true,"description":"SV/UVM based instruction generator for RISC-V processor verification","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":322,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-23T07:09:02.774Z"}},{"type":"Public","name":"f4pga-website","owner":"antmicro","isFork":true,"description":"","allTopics":[],"primaryLanguage":{"name":"SCSS","color":"#c6538c"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":3,"license":"Apache License 2.0","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-23T00:03:05.879Z"}}],"repositoryCount":726,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"antmicro repositories"}