{"payload":{"header_redesign_enabled":false,"results":[{"id":"744221390","archived":false,"color":"#555555","followers":4,"has_funding_file":false,"hl_name":"MUDAL/Altera_FPGA_Projects","hl_trunc_description":"This repository contains numerous projects that were successfully implemented on an Altera Cyclone IV FPGA.","language":"C","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":744221390,"name":"Altera_FPGA_Projects","owner_id":46250887,"owner_login":"MUDAL","updated_at":"2024-09-11T23:03:04.439Z","has_issues":true}},"sponsorable":false,"topics":["fpga","electronics","vhdl","stm32","embedded-systems","modelsim","quartus-prime","altera-fpga","rtl-design-and-verification"],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":79,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253AMUDAL%252FAltera_FPGA_Projects%2B%2Blanguage%253AC","metadata":null,"warn_limited_results":false,"csrf_tokens":{"/MUDAL/Altera_FPGA_Projects/star":{"post":"oLy37f4cgeIQXYPuqV1BOl9f-9YQbzzIHk5xG3JWiwIjePUO2MYT25IcxN5HtM5x7678di7Ne0IujJ1w-bVM5A"},"/MUDAL/Altera_FPGA_Projects/unstar":{"post":"JbX3Fx4XbHqsuWovSrWiQNErguiqk-FDQordyDCcFLDrSnhLAAjTQJTYhflSkT9PP6wvIuJ3oPilpr8JqRMxpA"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"5YtOHdU6mpfRearI3u0LZnmwt7QfJKoCb5r-javRPKbOHmp1KTWzQu0vJy_ZB2GTIRrjg1vDY4fSq1mKjxL0qA"}}},"title":"Repository search results"}