{"payload":{"header_redesign_enabled":false,"results":[{"id":"765295885","archived":false,"color":"#adb2cb","followers":0,"has_funding_file":false,"hl_name":"CodiieSB/VHDL-4Bit_DownCounter","hl_trunc_description":"A 4-bit down counter is a digital circuit that counts down from a preset value to zero, decreasing by one with each clock pulse.","language":"VHDL","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":765295885,"name":"VHDL-4Bit_DownCounter","owner_id":53151360,"owner_login":"CodiieSB","updated_at":"2024-02-29T16:55:42.051Z","has_issues":true}},"sponsorable":false,"topics":["vhdl","xilinx-vivado","vhdl-examples","downcount"],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":80,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253ACodiieSB%252FVHDL-4Bit_DownCounter%2B%2Blanguage%253AVHDL","metadata":null,"warn_limited_results":false,"csrf_tokens":{"/CodiieSB/VHDL-4Bit_DownCounter/star":{"post":"VZfK1jhdFOrFAunHvlWPhpa7kqcjLjOxi1f9lxJCFnJPtEcDdGzHSDtywTCEmyoRbqNs-3wbJWHwBrker49zzA"},"/CodiieSB/VHDL-4Bit_DownCounter/unstar":{"post":"JUZpTKAIRPmGt-5RwFqRhDOxWPdN_C17zJqxJdKb1lP6QW7dH77Ob-Aaawo7_6rNx5oP2GPHk4LnvI2NXGGcqw"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"FRumfe81f0rVzJX2z5ZouJJMR7BDw70VKvKv8Lo9thRn2suNxWZrBQZQbIikv_WRtmh5c4C7ZSRFibGOMR1VpQ"}}},"title":"Repository search results"}