{"payload":{"header_redesign_enabled":false,"results":[{"id":"234019548","archived":false,"color":"#b2b7f8","followers":6,"has_funding_file":false,"hl_name":"7enTropy7/Artix_7","hl_trunc_description":"My experiments with Nexys4 DDR Artix-7 FPGA Board","language":"Verilog","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":234019548,"name":"Artix_7","owner_id":36446402,"owner_login":"7enTropy7","updated_at":"2020-10-01T13:14:14.060Z","has_issues":true}},"sponsorable":true,"topics":["verilog","nexys4ddr","verilog-project","fpga-programming","artix-7"],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":61,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253A7enTropy7%252FArtix_7%2B%2Blanguage%253AVerilog","metadata":null,"warn_limited_results":false,"csrf_tokens":{"/7enTropy7/Artix_7/star":{"post":"d5egX_ZtBpd3MNgFOLYx9HZq8uicldoUE91X330jgYjgTB9p8zOwCwONaTca93dMlTbQQX5uNbyXuWqWAQyQxA"},"/7enTropy7/Artix_7/unstar":{"post":"XJnnDNxiteQTsqnW-femCl6j7NI2tG7ndbR9rjUp3Hom3GxWkGoQhpBQmlA7Fc0Lt2fw7nQvDBjQ6Ay1e58uRg"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"RNOzUGt-d2_Dg9_otRbuvA_f-6i16qahYAuPWVqgu3c9FugSaKi4xDxDftlwA22tVtQ5qkgez_fE66y5j2bbmA"}}},"title":"Repository search results"}